Using Library Modules in Verilog Designs

ثبت نشده
چکیده

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Reusing Verilog Designs in the Synchronous Language Esterel

Veriest is an automatic translator that converts synthesizable Verilog designs into the synchronous language Esterel. The translation into a synchronous language can expose hidden flaws in the original design, including subtle race conditions. In addition, the extensive libraries of verified Verilog designs can now be reused in synchronous designs. Verilog and Esterel have different models and ...

متن کامل

Verilog-AMS: Mixed-Signal Simulation and Cross Domain Connect Modules

Verilog-AMS is one of the major mixed-signal hardware description languages on today’s market. In addition to the extended capabilities to model analog and digital behavior, the language supports a novel approach to merge existing digital and analog designs without rewriting the individual designs. At the center of this approach is the connect module and the connection rules. These language fea...

متن کامل

Comparison of Multiplier Accumulator Architectures for DSP Applications

This paper presents several architectures and designs of 8-bit Multiplier Accumulator (MAC) for DSP applications. Modifications have been made to existing architectures and their performances compared for speed, area and power consumption. The designs have been coded and simulated in Verilog using ModelSim and synthesized using Cadence RC Compiler and UMC 90nm standard CMOS technology library. ...

متن کامل

Module-based Synthesis of Behavioral Verilog Descriptions to Asynchronous Circuits

In this paper we present a design tool for automatic synthesis of Verilog behavioral description of an asynchronous circuit into delay insensitive presynthesized library modules, using syntax directed techniques. Our design tool can also generate appropriate output to support implementing the circuit on ASICs and LUT-based FPGAs consequently rapid prototyping of the asynchronous circuit becomes...

متن کامل

The IEEE Verilog 1364-2001 Standard; What's New and Why You Need It

At the time of this conference, the proposed IEEE 13642000 Verilog standard is complete, and in the balloting process for final IEEE approval [update: official IEEE ratification was not completed until March 2001, making the official name IEEE 1364-2001, and the nickname Verilog-2001]. Verilog-2001 adds many significant enhancements to the Verilog language, which add greater support for configu...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2005